标签归档:电子技术

《信号完整性——深入理解高速数字电路设计》 作者:高晓宇 电子书(pdf+word+epub+mobi+azw3版本)


该商品为众筹商品,目前无实际资源,目前心愿值达成6点,未达成理想心愿值35点,如果不懂心愿值不要急着付款,先看心愿值计划:点击这里

此付费文章无需注册登录本站,电脑浏览器自助购买后5s时间页面自动跳转查看隐藏内容(手机浏览器需手动刷新页面),付款方式为支付宝扫二维码。请及时保存付费内容中的资料1天内付费内容可见。您需要先支付 0.3元 才能查看此处内容!开始点击:立即支付

信号完整性——深入理解高速数字电路设计
全面曝光20年电路设计与工程实战经验!系统论述信号完整性基础理论和高速数字电路设计的理念与实践!涵盖基础知识、电路分析与工程设计
作者:高晓宇出版社:清华大学出版社出版时间:2020年11月

开 本:16开
纸 张:胶版纸
包 装:平装-胶订
是否套装:否
国际标准书号ISBN:9787302558286
丛书名:清华开发者书库
所属分类:
图书>工业技术>电子 通信>一般性问题


《信号完整性——深入理解高速数字电路设计》 作者:高晓宇 电子书(pdf+word+epub+mobi+azw3版本)

编辑推荐

本书涉及的主题

信号完整性问题出现的技术背景;

传输线与阻抗基础理论;

反射形成的原理;

传输线的端接;

信号的传输与回流;

分布式系统;

数字集成电路基础; 继续阅读

《等离子体蚀刻及其在大规模集成电路制造中的应用》 作者:张海洋 电子书(pdf+word+epub+mobi+azw3版本)

等离子体蚀刻及其在大规模集成电路制造中的应用
“十三五”国家重点图书,作者团队在*半导体工厂工作多年,掌握业界领先的制造工艺
作者:张海洋 等出版社:清华大学出版社出版时间:2018年02月

开 本:16开
纸 张:胶版纸
包 装:平装-胶订
是否套装:否
国际标准书号ISBN:9787302489597
丛书名:高端集成电路制造工艺丛书
所属分类:
图书>工业技术>电子 通信>一般性问题


《等离子体蚀刻及其在大规模集成电路制造中的应用》 作者:张海洋 电子书(pdf+word+epub+mobi+azw3版本)

编辑推荐
集成电路产业是信息技术产业的核心,是支撑经济社会发展和保障国家安全的战略性、基础性和先导性产业。等离子体蚀刻是集成电路制造业核心工艺技术之一,在集成电路的诸多领域,扮演着不可或缺的重要角色。过去近半个世纪蚀刻技术栉风沐雨,已从简单的各向同性灰化发展到离子能量分布/电子能量分布级的精密控制技术。张海洋等作者有着深厚的学术根基以及丰富的产业经验,其带领的团队是多年来在*半导体工厂一线工作的科研人员,掌握了业界领先的制造工艺。他们处理实际问题的经验以及从产业出发的独特技术视角,将给读者带来启发和帮助。本书理论与实际相结合,紧跟国际技术前沿,填补国内外相关图书空白。本书内容基于已经公开发表的文献以及蚀刻团队对等离子体蚀刻在集成电路体制造应用的全面深刻理解。希望本书对于等离子体蚀刻在高端半导体制造中的研发和应用能够管窥一斑,也希望它能成为有意愿致力于半导体高端制造等离子体蚀刻工艺应用的工程人员的参考书籍。 继续阅读

《无线电合订本 65周年版下》 作者:《无线电》电子书(pdf+word+epub+mobi+azw3版本)

该商品为众筹商品,目前无实际资源,目前心愿值达成6点,未达成理想心愿值36点,如果不懂心愿值不要急着付款,先看心愿值计划:点击这里

此付费文章无需注册登录本站,电脑浏览器自助购买后5s时间页面自动跳转查看隐藏内容(手机浏览器需手动刷新页面),付款方式为支付宝扫二维码。请及时保存付费内容中的资料1天内付费内容可见。您需要先支付 0.3元 才能查看此处内容!开始点击:立即支付

无线电合订本 65周年版下
作者:《无线电》编辑部出版社:人民邮电出版社出版时间:2021年04月

开 本:128开
纸 张:胶版纸
包 装:平装
是否套装:否
国际标准书号ISBN:9787115558589
所属分类:
图书>工业技术>电子 通信>一般性问题


《无线电合订本 65周年版下》 作者:《无线电》电子书(pdf+word+epub+mobi+azw3版本)

编辑推荐
《无线电》于1955年创刊,是国内电子及无线电通信类报刊中创刊*早、发行量*的知名科普杂志,累计发行量超过3亿册,现为国内发行量*的创客实体杂志曾获“国家期刊奖”、“公众喜爱的科普期刊”称号,2019年入选中国科技期刊卓越行动计划,2020年入选中国优秀科普期刊目录。该杂志与目前国内知名的创客空间有广泛的交流,与电子行业有关的专家、工程师、技术人员、教师、学生有深入的联系,拥有权威的行业专家顾问团队,丰富的期刊作者、读者资源,是千所高校电子专业图书馆及实验室必订刊物。

《无线电》于1955年创刊,是国内电子及无线电通信类报刊中创刊早、发行量大的知名科普杂志,累计发行量超过3亿册,现为国内发行量zui大的创客实体杂志曾获“国家期刊奖”、“公众喜爱的科普期刊”称号,2019年入选中国科技期刊卓 越行动计划,2020年入选中国优 秀科普期刊目录。

该杂志与目前国内知名的创客空间有广泛的交流,与电子行业有关的专家、工程师、技术人员、教师、学生有深入的联系,拥有知名的行业专家顾问团队,丰富的期刊作者、读者资源,是千所高校电子专业图书馆及实验室必订刊物。 继续阅读

《SMT核心工艺解析与案例分析(第4版)》 作者:贾忠中 电子书(pdf+word+epub+mobi+azw3版本)

SMT核心工艺解析与案例分析(第4版)

作者:贾忠中出版社:电子工业出版社出版时间:2020年09月

开 本:16开
纸 张:胶版纸
包 装:平装-胶订
是否套装:否
国际标准书号ISBN:9787121395598
所属分类:
图书>工业技术>电子 通信>电子元件/组件

编辑推荐

本书编写形式新颖,以全彩形式呈现现场问题,是一本适合电子装联工程师阅读的非常有价值的工具书。


《SMT核心工艺解析与案例分析(第4版)》 作者:贾忠中 电子书(pdf+word+epub+mobi+azw3版本)

内容简介

本书是作者多年从事电子工艺工作的经验总结。全书分上、下两篇。上篇(第1~6章)汇集了表面组装技术的54项核心工艺,从工程应用角度,全面、系统地对其应用原理进行了解析和说明,对深刻理解SMT的工艺原理、指导实际生产、处理生产现场问题有很大的帮助;下篇(第7~14章)精选了127个典型的组装失效现象或案例,较全面地展示了实际生产中遇到的各种工艺问题,包括由工艺、设计、元器件、PCB、操作、环境等因素引起的工艺问题,对处理现场生产问题、提高组装的可靠性具有非常现实的指导作用。本书编写形式新颖,直接切入主题,重点突出,是一本非常有价值的工具书,适合有一年以上实际工作经验的电子装联工程师使用,也可作为大学本科、高职院校电子装联专业师生的参考书。
作者简介

贾忠中,中兴通讯股份有限公司首席工艺专家,从事电子制造工艺研究与管理工作近30年。在中兴通讯工作期间,见证并参与了中兴工艺的发展历程。历任工艺研究部部长、副总工艺师、总工艺师、首席工艺专家。担任广东电子学会SMT专委会副主任委员、中国电子学会委员。对SMT、可制造性设计、失效分析、焊接可靠性等有着深入、系统地研究,擅长组装不良分析、焊点失效分析。出版作品有:《SMT工艺质量控制》《SMT可制造性设计》《SMT工艺不良与组装可靠性》《SMT核心工艺解析与案例分析》 (该书2010—2016年先后修订二次,第3版于2016年出版)等,发表论文多篇,被粉丝誉为“实战专家”。
目  录

第1 章 表面组装技术基础/3
1.1 电子封装工程/3
1.2 表面组装技术/4
1.3 表面组装基本工艺流程/6
1.4 PCBA 组装方式/7
1.5 表面组装元器件的封装形式/10 继续阅读

《西门子S7-1500 PLC完全精通教程》 作者:向晓汉 电子书(pdf+word+epub+mobi+azw3版本)

西门子S7-1500 PLC完全精通教程
SIMATIC S7-1500PLC及TIA博途软件完全自学手册
作者:向晓汉 主编出版社:化学工业出版社出版时间:2018年04月

开 本:16开
纸 张:胶版纸
包 装:平装-胶订
是否套装:否
国际标准书号ISBN:9787122313201
所属分类:
图书>工业技术>电子 通信>一般性问题


《西门子S7-1500 PLC完全精通教程》 作者:向晓汉 电子书(pdf+word+epub+mobi+azw3版本)

编辑推荐
本书内容基于TIA博途软件平台全面系统介绍SIMATIC S7-1500PLC编程与应用,具有以下特点。
(1)内容由浅入深、由基础到应用,理论联系实际,既适合初学者学习使用,也可以供有一定基础的人结合书中大量的实例,深入学习西门子 S7-1500 PLC的工程应用。
(2)用实例引导读者学习。本书的内容全部用精选的例子来讲解,例如,用例子说明现场总线通信的实现全过程。同时所有的例子都包含软硬件的配置方案图、接线图和程序,而且为确保程序的正确性,程序已经在PLC上运行通过。
(3)二维码视频学习。对于比较复杂的例子,均配有学习资源,包含视频和程序源代码。读者可以用手机扫描书中的二维码观看相关视频,同时读者可以到出版社网站http://download.cip.com.cn中的“配书资源”一栏中下载书中所讲案例的程序源代码,对读者学习书本知识起到辅助作用。

内容简介
本书从基础和应用出发,全面系统介绍了西门子S7-1500 PLC编程及应用。全书内容分两部分:*部分为基础入门篇,主要介绍西门子S7-1500 PLC的硬件和接线,TIA博途软件的使用,PLC的编程语言、程序结构、编程方法与调试;第二部分为应用精通篇,包括西门子S7-1500 PLC的通信及其应用,西门子S7-1500 PLC的SCL和GRAPH编程,西门子人机界面(HMI)应用,西门子S7-1500 PLC的故障诊断的应用,西门子S7-1500 PLC工程应用,TIA博途软件的其他常用功能。本书可供从事西门子PLC技术学习和应用的人员使用,也可以作为高等院校相关专业的教材使用。
目  录

第1篇 基础入门篇

第1章 可编程序控制器(PLC)基础 2

1.1 概述 2

1.1.1 PLC的发展历史 2

1.1.2 PLC的主要特点 3 继续阅读

《开关电源控制环路设计》 开关电源经典著作 作者:[法] 克里斯多夫·巴索 电子书(pdf+word+epub+mobi+azw3版本)

开关电源控制环路设计
世界知名开关电源设计专家,开关电源经典著作,详解实际控制器的设计
作者:[法] 克里斯多夫·巴索(Christophe Basso)出版社:机械工业出版社出版时间:2019年11月

开 本:16开
纸 张:胶版纸
包 装:平装-胶订
是否套装:否
国际标准书号ISBN:9787111637233
所属分类:
图书>工业技术>电子 通信>一般性问题

《开关电源控制环路设计》 开关电源经典著作 作者:[法] 克里斯多夫·巴索 电子书(pdf+word+epub+mobi+azw3版本)

编辑推荐

本书特色:
1)作者是业内知名专家,拥有丰富的工程实践能力
2)章节分布由理论到实际,易于读者理解
3)有较多工程实例,方便工程人员实践和掌握
4)背景知识、专业知识和工程实践充分融合,内容深入浅出,易于掌握

内容简介

本书共分九章,系统阐述了开关电源的控制环路设计和稳定性分析。第1~3章介绍了环路控制的基础知识,包括传递函数、零极点、稳定性判据、穿越频率、相位裕度、增益裕度以及动态性能等;第4章介绍了多种补偿环节的设计方法;第5~7章分别介绍了基于运放、跨导型运放以及TL431的补偿电路设计方法,将理论知识与实际应用密切关联;第8章介绍了基于分流调节器的补偿器设计;第9章介绍了传递函数、补偿环节与控制环路伯德图的测试原理和方法。本书将电源环路控制的知识点进行了系统的汇总和归纳,实用性强,是一本非常的电源控制环路设计的著作。
本书适合电源工程师、初步具备电力电子技术或者开关电源基础的读者,可以较为系统地了解开关电源控制环路设计的理论知识、分析方法、工程实践设计以及测试分析等,在工程实践的基础上,大大提高理论分析水平和设计能力。本书也可作为电力电子与电力传动相关学科研究生的教学参考用书。 继续阅读

《从零开始学电子制作》 作者:张校铭 主编 电子书(pdf+word+epub+mobi+azw3版本)

从零开始学电子制作
电子元器件、电路设计、PCB线路板、电子制作一本全
作者:张校铭 主编出版社:化学工业出版社出版时间:2019年08月

开 本:16开
纸 张:胶版纸
包 装:平装-胶订
是否套装:否
国际标准书号ISBN:9787122338075
所属分类:
图书>工业技术>电子 通信>一般性问题

《从零开始学电子制作》 作者:张校铭 主编 电子书(pdf+word+epub+mobi+azw3版本)

编辑推荐

从原始矿石收音机讲起,通过100多个制作实例,详细介绍门控门铃类小电器的制作、 充电器类小电器制作、 灯光控制类小电器制作、 医用 医疗理疗类小电器的制作、 报警防盗类小电器的制作、 温湿度控制类电器制作、 音响类、 生活类电子产品制作等各类型制作的电路板和图纸设计、元器件焊接组装、调试与检修等方法和技巧。

通过双图解与视频讲解相结合方式,读者看得懂,学得会,还可以举一反三,将所讲到的案例通过拆解与组合的方法用到别的电子产品中。

这些案例作者都经过反复调试和验证,有相关配套器件,读者学了就能用。 继续阅读

《芯片设计 CMOS模拟集成电路版图设计与验证:基于Cadence IC 617》 作者:陈铖颖 范军 尹飞飞 电子书(pdf+word+epub+mobi+azw3版本)

芯片设计 CMOS模拟集成电路版图设计与验证:基于Cadence IC 617
内容涵盖了纳米级CMOS器件,CMOS模拟集成电路版图基础,Cadence IC 617与Mentor Calibre的基本概况、操作界面和使用方法,CMOS模拟集成电路从设计到导出数据进行流片的完整
作者:陈铖颖 范军 尹飞飞出版社:机械工业出版社出版时间:2021年07月

开 本:128开
纸 张:胶版纸
包 装:平装-胶订
是否套装:否
国际标准书号ISBN:9787111680222
丛书名:半导体与集成电路关键技术丛书 微电子与集成电路先进技术丛书
所属分类:
图书>工业技术>电子 通信>一般性问题


《芯片设计 CMOS模拟集成电路版图设计与验证:基于Cadence IC 617》 作者:陈铖颖 范军 尹飞飞 电子书(pdf+word+epub+mobi+azw3版本)

编辑推荐

本书主要依托Cadence IC 617版图设计工具与Mentor Calibre版图验证工具,在介绍新型CMOS器件和版图基本原理的基础上,结合版图设计实践,采取循序渐进的方式,讨论使用Cadence IC 617与Mentor Calibre进行CMOS模拟集成电路版图设计、验证的基础知识和方法,本书通过结合器件知识、电路理论和版图设计实践,使读者深刻了解CMOS电路版图设计和验证的规则、流程和基本方法,对于进行CMOS模拟集成电路学习的在校高年级本科生、硕士生和博士生,以及从事集成电路版图设计与验证的工程师,都会起到有益的帮助。 继续阅读

《工业电路板芯片级维修从入门到精通》 作者:汪文忠 编著 电子书(pdf+word+epub+mobi+azw3版本)

工业电路板芯片级维修从入门到精通
电路板芯片级维修 工业电路板
作者:汪文忠 编著出版社:化学工业出版社出版时间:2018年03月

开 本:16开
纸 张:胶版纸
包 装:平装-胶订
是否套装:否
国际标准书号ISBN:9787122311559
所属分类:
图书>工业技术>电子 通信>一般性问题

《工业电路板芯片级维修从入门到精通》 作者:汪文忠 编著 电子书(pdf+word+epub+mobi+azw3版本)

编辑推荐
本书系统介绍了无图纸的工业电路板芯片级维修技术,包括行业内某些“秘而不宣”或“只可意会不可言传”的“维修秘笈”,对各类电路板维修具有指导意义。

内容简介
本书介绍了无图纸的工业电路板芯片级维修技术,内容包括电路元件的识别、维修工具的使用、典型电路分析、元器件测试、维修方法和技巧以及大量维修实例。本书可供从事工业电路板、电气设备维修的技术人员、企业高级电工阅读学习,也可供维修培训使用。
目  录

第1章 认识电子元件 1

1.1 电阻类元件 2

1.2 电容类元件 9 继续阅读

《从零开始学电子电路设计》 作者:刘炳海 电子书(pdf+word+epub+mobi+azw3版本)

从零开始学电子电路设计
电路基础 、模拟电话设计、数字电路设计、PCB设计、电子电路调试一本通
作者:刘炳海 主编 赵显通,董忠 副主编出版社:化学工业出版社出版时间:2019年05月

开 本:16开
纸 张:胶版纸
包 装:平装-胶订
是否套装:否
国际标准书号ISBN:9787122337139
所属分类:
图书>工业技术>电子 通信>基本电子电路

《从零开始学电子电路设计》 作者:刘炳海 电子书(pdf+word+epub+mobi+azw3版本)

编辑推荐

《从零开始学电子电路设计 》》本模拟电路、数字电路、传感器应用、单片机的基础知识和设计技能为一体,通过设计实例循序渐进讲解习电子电路设计所需要掌握的方法和技能。书中将全面介绍各种电子元器件、电路设计基础电路、设计任务与设计流程、模拟电路设计、数字电路设计、传感器及应用电路设计、印制电路板设计、焊接与调试、单片机基础等内容,既有理论基础知识,又有大量电路实例和技能技巧,读者零基础也能学会。

内容特点:

1 通过电子设计实例讲解,读者零基础也能学会:把学习电子电路设计所需要掌握的基础知识、方法和技能融汇在各类型案例中,不涉及生涩公式和理论推导,只要知道基本的欧姆定律,读者就可以在本书的引导下掌握电子电路的设计知识。

2 设计制作分步讲解,全流程设计:涵盖从元器件选用、PCB制作、焊接、装配调试等各细节。

3 典型案例配有制作、设计、电路分析讲解视频。 继续阅读

《数字信号处理——原理、算法与应用(第四版)》 作者:(美)普罗克斯 电子书(pdf+word+epub+mobi+azw3版本)

数字信号处理——原理、算法与应用(第四版)
作者:(美)普罗克斯出版社:电子工业出版社出版时间:2014年08月

开 本:16开
纸 张:胶版纸
包 装:平装
是否套装:否
国际标准书号ISBN:9787121238680
所属分类:
图书>工业技术>电子 通信>通信

《数字信号处理——原理、算法与应用(第四版)》 作者:(美)普罗克斯 电子书(pdf+word+epub+mobi+azw3版本)

第1章 绪论 1.1 信号、系统及信号处理 1.1.1 数字信号处理系统的基本组成 1.1.2 数字信号处理与模拟信号处理相比的优点 1.2 信号分类 1.2.1 多通道信号与多维信号 1.2.2 连续时间信号和离散时间信号 1.2.3 连续值信号与离散值信号 1.2.4 确定性信号与随机信号 1.3 连续时间信号与离散时间信号中的频率概念 1.3.1 连续时间正弦信号 1.3.2 离散时间正弦信号 1.3.3 谐相关的复指数信号 1.4 模数和数模转换 1.4.1 模拟信号采样 1.4.2 采样定理 1.4.3 连续幅度信号的量化 1.4.4 正弦信号的量化 1.4.5 量化采样信号的编码 1.4.6 数模转换 1.4.7 数字信号与系统及离散时间信号与系统的分析 1.5 小结与参考文献 习题第2章 离散时间信号与系统 继续阅读

《纳米集成电路制造工艺(第2版)》 电子书(pdf+word+epub+mobi+azw3版本)

下载链接:点击这里

纳米集成电路制造工艺(第2版)
国内首本关于纳米集成电路制造工艺的著作。半导体产业领军人物张汝京组织,*半导体代工厂一线科研人员编写,清华大学王志华教授作序推荐。

开 本:32开
纸 张:胶版纸
包 装:平装-胶订
是否套装:否
国际标准书号ISBN:9787302452331
所属分类:
图书>工业技术>电子 通信>微电子学、集成电路(IC)

《纳米集成电路制造工艺(第2版)》 电子书(pdf+word+epub+mobi+azw3版本)

编辑推荐

超大规模集成电路的生产工艺,从“微米级”到“纳米级”发生了许多根本上的变化。甚至,从45nm缩小至28nm(以及更小的线宽)也必须使用许多新的生产观念和技术。张汝京先生是随着半导体产业的发展成长起来的领军人物,见证了几个技术世代的兴起与淘汰。他本人有着深厚的学术根基,以及丰富的产业经验,其带领的团队是多年来在*半导体代工厂一线工作的科研人员,掌握了业界领先的制造工艺。他们处理实际问题的经验以及从产业出发的独特技术视角,相信会给读者带来启发和帮助。

内容简介

本书共19章,涵盖先进集成电路工艺的发展史,集成电路制造流程、介电薄膜、金属化、光刻、刻蚀、表面清洁与湿法刻蚀、掺杂、化学机械平坦化,器件参数与工艺相关性,DFM(Design for Manufacturing),集成电路检测与分析、集成电路的可靠性,生产控制,良率提升,芯片测试与芯片封装等内容。 再版时加强了半导体器件方面的内容,增加了先进的FinFET、3D NAND存储器、CMOS图像传感器以及无结场效应晶体管器件与工艺等内容。 继续阅读

《芯路 书读懂集成电路产业的现在与未来》 作者:冯锦锋 郭启航 著 电子书(pdf+word+epub+mobi+azw3版本)

芯路 书读懂集成电路产业的现在与未来
深究芯片发展历程,剖析产业结构,探求我国未来之芯路
作者:冯锦锋 郭启航 著出版社:机械工业出版社出版时间:2020年08月

开 本:32开
纸 张:胶版纸
包 装:平装-胶订
是否套装:否
国际标准书号ISBN:9787111659990
丛书名:·
所属分类:
图书>工业技术>电子 通信>基本电子电路

《芯路 书读懂集成电路产业的现在与未来》 作者:冯锦锋 郭启航 著 电子书(pdf+word+epub+mobi+azw3版本)

编辑推荐

?
集成电路、芯片2020年以来再次成为热门词汇,美国对于我国企业华为、中兴的制裁,让我们重新审视自己的芯片研发实力,如何破局,如何不再受制于人,如何走出具有我们自己特色的芯片之路,使全体半导体人、甚至全体中国人需要深入思考的问题,而《芯路——一书读懂集成电路产业的现在与未来》将带您领略集成电路发展几十年来的波折与风浪,充分展现我国集成电路产艰辛历程,共同探讨与寻找属于我们自己的“芯路”。

集成电路六十年,风云际会,产业激荡几多春秋。
一书在手览天下,荡气回肠,看透过去今天未来。

纵览全球集成电路产业六十年的发展历程
?剖析集成电路产业背后各国和地区的发展策略
?展现我国集成电路产业快速发展背后的酸甜苦辣
?探寻我国集成电路产业未来的发展方向 继续阅读

《集成电路制造工艺与工程应用》 作者:温德通 电子书(pdf+word+epub+mobi+azw3版本)

该商品为众筹商品,目前无实际资源,目前心愿值达成8点,未达成理想心愿值23点,如果不懂心愿值不要急着付款,先看心愿值计划:点击这里

此付费文章无需注册登录本站,电脑浏览器自助购买后5s时间页面自动跳转查看隐藏内容(手机浏览器需手动刷新页面),付款方式为支付宝扫二维码。请及时保存付费内容中的资料1天内付费内容可见。您需要先支付 0.3元 才能查看此处内容!开始点击:立即支付

集成电路制造工艺与工程应用
600余幅彩色立体图和剖面图详细阐述工艺步骤 业界专家集体联袂推荐
作者:温德通出版社:机械工业出版社出版时间:2018年08月

开 本:16开
纸 张:胶版纸
包 装:平装-胶订
是否套装:否
国际标准书号ISBN:9787111598305
所属分类:
图书>工业技术>电子 通信>微电子学、集成电路(IC)

《集成电路制造工艺与工程应用》 作者:温德通 电子书(pdf+word+epub+mobi+azw3版本)

编辑推荐

温德通老师这本《集成电路制造工艺与工程应用》,从几年前开始编写时就与我进行了沟通,在看过初稿后,我对于他亲自绘制的数百幅工艺流程图印象深刻,并且深感佩服,这些图片在目前讲述集成电路工艺的教材中是看不到的,这也是我决定这本书一定要采用彩色印刷的原因。而且教材往往囿于知识体系的完整,不可能将具体的工艺流程如此详细地讲解,而我们在学习的时候,对于教材中所叙述的工艺流程总是限制在名词术语之间,而温老师得益于他十多年在企业中的工作经验,弥补了这些不足,为读者奉上了这本佳作。 继续阅读

《衍射极限附近的光刻工艺》 作者:伍强 电子书(pdf+word+epub+mobi+azw3版本)

下载链接:
点击这里

衍射极限附近的光刻工艺
入选2019年国家出版基金资助项目、“十三五”国家重点图书出版规划项目,一部极具深度和广度的光刻工艺技术著作,体系结构完整,内容系统全面,数据资料翔实,可读性强
作者:伍强 等出版社:清华大学出版社出版时间:2020年02月

开 本:16开
纸 张:胶版纸
包 装:平装-胶订
是否套装:否
国际标准书号ISBN:9787302537427
丛书名:高端集成电路制造工艺丛书
所属分类:
图书>工业技术>一般工业技术

《衍射极限附近的光刻工艺》 作者:伍强 电子书(pdf+word+epub+mobi+azw3版本)

编辑推荐

集成电路产业是信息技术产业的核心,是支撑经济社会发展和保障国家安全的战略性、基础性和先导性产业。光刻工艺是集成电路制造业核心工艺技术之一,在集成电路的诸多领域,扮演着不可或缺的重要作用。
《衍射极限附近的光刻工艺》以光刻工艺为主线,将光刻设备、光刻材料、光刻成像的理论计算、光刻工艺中各种建模思想和推导,芯片制造的技术发展要求,以及对光刻工艺各项参数的要求紧密地联系在一起,为读者展现一个整体的图景。
本书是一部极具深度和广度的光刻工艺技术著作,覆盖多学科领域,体系结构完整,内容系统全面,数据资料翔实,论述严谨,可读性强。本书的出版将帮助读者全面、深入地了解光刻技术,推动光刻技术各领域的交流和协同,促进人才培养、技术进步和产业发展。
伍强博士等作者是随着半导体产业的发展成长起来的资深光刻技术专家,不仅有深厚的学术根基,还有丰富的产业经验,他们带领的团队多年来在国内外多家*公司一线工作,掌握了业界领先的制造工艺。他们处理实际问题的经验以及从产业出发的独特技术视角,将给读者带来启发和帮助。本书理论与实际相结合,紧跟国际技术前沿,填补国内外相关图书空白。 继续阅读